数字系统设计-秒表设计


    

    深 圳 学 实 验 报 告


    课程名称: 数字系统设计

    实验项目名称: Verilog秒表设计

    学院: 信息工程学院

    专业: 电子信息工程

    指导教师: 邓莺

    报告: 陈耀省 学号:2010130267 班级: 电子三班

    实验时间: 2012年11月

    实验报告提交时间: 2012年11月28日


    教务部制
    实验目
    1进步熟悉ISE软件熟悉FPGA开发流程
    2掌握编写Verilog代码步骤学会绘制ASM图
    3学会顶设计方法模块实现系统设计
    二实验设备
    1装ISE软件PC机台2Nexys3开发板块
    三实验容求
    设计秒表
    具计时功秒表两键(reset start)reset键秒表清零开始默认秒表计时start键停止计时次start键开始计时反复 FPGA开发板两七段数码显示时间(秒单位)计时0 59 循环
    三实验步骤
    1设计系统框图设计采取顶设计方案整秒表系统原理图示包括五模块——键消抖模块分频模块键功控制模块计数模块数码驱动显示模块


    2根系统原理图画出模块ASM图
    (1)利D触发器键进行消抖处理电路图利面电路实现start键reset键消抖连续输入三周期高电时键效

    (2)分频模块ASM图

    ASM图100MHz信号分频100Hz信号计数数码扫描


    (3)start键reset键功控制模块ASM图图中rst复位信号sta计时信号利状态机实现状态间转换输出复位信号计时信号默认状态start_time计时状态

    (4)计数模块ASM图
    输入100Hz时钟计数复位信号效时数全部置0否sta信号效时开始计数输出秒位十秒位


    (5)数码驱动模块ASM图100Hz信号扫描数码应数字十进制形式显示数码两数码前两数码置1保持亮点亮两数码

    3根绘制模块ASM图系统原理图编写Verilog代码编写代码
    ***********************秒表顶层模块**************************
    module stopwatch(clkresetstartledoutdata)
    input clkresetstart
    output led
    output outdata
    wire clk_100fresetfstartrststa
    wire [30]data_sdata_g
    wire [30]led
    wire [60]outdata
    xiaodou m1(reset(reset)start(start)clk(clk)
    freset(freset)fstart(fstart))
    fenpin m2(clk(clk)clk_100(clk_100))
    f_start m3(clk(clk)reset(reset)start(start)
    freset(freset)fstart(fstart)rst(rst)sta(sta))
    jishu m4(clk_100(clk_100)rst(rst)sta(sta)
    data_s(data_s)data_g(data_g))
    qudong m5(clk_100(clk_100)data_s(data_s)
    data_g(data_g)outdata(outdata)led(led))
    endmodule
    ***************************************************************
    ****************分频模块***************************************
    module fenpin(clkclk_100)
    input clk
    output clk_100
    reg clk_1000
    reg [180]temp0
    always @ (posedge clk)
    begin
    if (temp499999)
    begin
    temp<0
    clk_100<~clk_100
    end
    else begin
    tempend end
    endmodule
    ***************************************************************
    ***************键消抖****************************************
    module xiaodou(resetstartclkfresetfstart)
    input resetstartclk
    output fresetfstart
    wire fresetfstart
    reg flag_resetflag_start
    reg resetAstartA resetBstartB
    assign freset(resetA & resetB & flag_reset)
    assign fstart(startA & startB & flag_start)
    always @( posedge clk)
    begin
    resetAresetBflag_resetend
    endmodule
    *****************************************************************
    *************startreset键功控制***************************
    module f_start(clkresetstartfresetfstartrststa)
    input clkresetstartfresetfstart
    output rststa
    reg [10]state2'b01 默认计时状态start_time
    reg rst1
    reg sta0
    parameter reset_time 2'b00
    start_time 2'b01
    stop_time 2'b10
    always @(posedge clk)
    if (freset && reset) 键放开第时钟周期效
    begin
    state rst<0
    end
    else
    case (state)
    reset_time if (fstart && start)
    begin
    state rst<1
    sta<0
    end
    else
    begin
    state rst<0
    end

    start_time if (fstart && start)
    begin
    state rst<1
    sta<1
    end
    else
    begin
    state rst<1
    sta<0
    end

    stop_time if (fstart && start)
    begin
    state rst<1
    sta<0
    end
    else
    begin
    state rst<1
    sta<1
    end
    default state<2'bxx
    endcase
    endmodule
    **************************************************************
    **********************计数模块********************************
    module jishu(clk_100rststadata_sdata_g)
    input clk_100rststa
    output [30]data_sdata_g
    reg [30]data_s4'b0000
    reg [30]data_g4'b0000
    reg [30]temp14'b0000
    reg [30]temp24'b0000
    always @(posedge clk_100)
    if (rst)
    begin
    temp1<4'b0000 temp2<4'b0000
    data_s<4'b0000 data_g<4'b0000
    end
    else if (sta)
    begin
    if(temp19) begin temp1<0
    if(temp29) begin temp2<0
    if(data_g9) begin data_g<0
    if(data_s5) begin data_s<0 end
    else begin data_s end end
    else begin data_g end end
    else begin temp2 end end
    else begin temp1 end end
    endmodule
    ****************************************************************
    *****************数码扫描显示模块***************************
    module qudong(clk_100data_sdata_goutdataled)
    input clk_100 input [30]data_sdata_g
    output outdataled
    reg [30]dataled
    reg count0
    reg [60]outdata
    always @(posedge clk_100)
    if (count)
    begin
    count<0
    end
    else
    begin
    countend
    always @(count)
    case (count)
    0 led<4'b1110
    1 led<4'b1101
    default led<4'b1111
    endcase
    always @(count)
    case (count)
    0 data1 datadefault data<4'b1111
    endcase
    always @(data)
    case (data)
    4'b0000 outdata<7'b0000001
    4'b0001 outdata<7'b1001111
    4'b0010 outdata<7'b0010010
    4'b0011 outdata<7'b0000110
    4'b0100 outdata<7'b1001100
    4'b0101 outdata<7'b0100100
    4'b0110 outdata<7'b0100000
    4'b0111 outdata<7'b0001111
    4'b1000 outdata<7'b0000000
    4'b1001 outdata<7'b0000100
    default outdata<7'b1111111
    endcase
    endmodule
    ****************************************************************
    4编写代码进行综合综合误代码进行功仿真仿真结果图仿真分析
    代码进行综合电路结构图示系统五模块组成写代码完全吻合

    仿真结果分析:
    开始时默认计时1000ms时数码显示01秒图示

    面两幅图第幅图中start键第次停止键计时停止3000ms时数码显示旧停01秒第二幅图示


    reset键秒表复位数码变显示00秒图

    复位状态start键开始计时start键1秒数码显示00秒变01秒图

    仿真结果出编写代码实现秒表计时功秒表键功正确编写代码正确
    5进行完功仿真验证代码误进行脚分配编写ucf文件ucf文件
    NET clk LOC V10
    NET led[0] LOC N16
    NET led[1] LOC N15
    NET led[2] LOC P18
    NET led[3] LOC P17
    NET outdata[6] LOC T17
    NET outdata[5] LOC T18
    NET outdata[4] LOC U17
    NET outdata[3] LOC U18
    NET outdata[2] LOC M14
    NET outdata[1] LOC N14
    NET outdata[0] LOC L14
    NET reset LOC A8
    NET start LOC B8
    6脚分配结束程序进行综合运行进行布局布线布局布线报告报告中出资源情况布局布线没错误警告
    Device Utilization Summary
    Slice Logic Utilization
    Number of Slice Registers 47 out of 18224 1
    Number used as Flip Flops 47
    Number of Slice LUTs 82 out of 9112 1
    Number used as logic 81 out of 9112 1
    Number using O6 output only 58
    Number using O5 output only 17
    Number using O5 and O6 6
    Number used exclusively as routethrus 1
    Number with sameslice carry load 1
    Slice Logic Distribution
    Number of occupied Slices 33 out of 2278 1
    Number of LUT Flip Flop pairs used 85
    Number with an unused Flip Flop 42 out of 85 49
    Number with an unused LUT 3 out of 85 3
    Number of fully used LUTFF pairs 40 out of 85 47
    IO Utilization
    Number of bonded IOBs 14 out of 232 6
    Number of LOCed IOBs 14 out of 14 100
    Specific Feature Utilization
    Number of BUFGBUFGMUXs 1 out of 16 6
    Number used as BUFGs 1
    Generating Pad Report
    All signals are completely routed
    Total REAL time to PAR completion 6 secs
    Total CPU time to PAR completion 6 secs
    Peak Memory Usage 211 MB
    Placer Placement generated during map
    Routing Completed No errors found
    Number of error messages 0
    Number of warning messages 0
    Number of info messages 2
    7程序进行综合布局布线生成bit文件生成bit文件载Nexys3板运行运行结果
    复位——00

    计时28s

    计时59秒00秒开始计时


    实验结:
    设计秒表计时实际时间相符合秒表reset键start键功均实验求相符
    心体会:
    次实验查阅量资料发现许足处次实验收获学会模块进行系统化设计采顶设计方法程序分成模块程序读性更思路结构更加清晰时容易代码进行查错修改

    指导教师批阅意见:








    成绩评定:







    指导教师签字:
    年 月 日
    备注:
    注:1报告项目容设置根实际情况加调整补充
    2教师批改学生实验报告时间应学生提交实验报告时间10日

    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 10 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    EDA与数字系统课程设计

     课 程 设 计 任 务 书(2011 ~2012 第三学期)设 计 题 目: EDA与数字系统课程设计 学 院 名 称: 电气与自动化工程学院 专 业(班 级...

    3年前   
    556    0

    数字电路与系统设计课后习题答案

    1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=...

    5年前   
    2481    0

    PARWANCPU状态机设计基于FPGA的数字系统设计

     基于FPGA的数字系统设计 大作业 学号: 姓名: 班级: 题目一:设计Pa...

    11个月前   
    300    0

    2023年数字逻辑与数字系统设计教学大纲

    2023年数字逻辑与数字系统设计教学大纲 第一篇:数字规律与数字系统设计教学大纲 西安电子科技高校 “卓越工程师教化培育支配〞试点课程教学大纲 “数字规律与数字系统设计〞...

    1年前   
    238    0

    2023数字油画课程设计

     拓展性课程“智乐点课坊” 课程类型 课程名称: 数字油画 适用年级: 四、五年级 总 课 时: 16 ...

    1年前   
    433    1

    数字钟课程设计心得

    数字钟课程设计心得  一、设计目的   数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。...

    12年前   
    607    0

    毕业设计管理系统的设计与实现

    毕业设计管理系统的设计与实现 摘 要 随着Internet的飞速发展及互联网的普及,计算机已广泛用于政府、军事、科研、商业等部门,连接到千家万户,利用计算机实现毕业设计管...

    5年前   
    2625    0

    开题报告-开关磁阻电机数字控制系统设计

    开题报告电气工程及自动化开关磁阻电机数字控制系统设计一、前言开关磁阻电机结构简单、成本低、容错性高、功率密度高能够高速运行,并且它能方便地实现起动和发电双功能,因此,目前越来越广泛的应用于航空...

    4年前   
    704    0

    数字化焊接车间设备监控与管理下位机系统设计

    题目:数字化焊接车间设备监控与管理下位机系统设计摘 要为了有效地管理车间内的焊接设备,提高产品的质量及生产效率,有必要开发出相应的车间管理辅助设备。此外,随着信息技术的发展,计算机的价格越...

    2个月前   
    133    0

    教学楼供配电系统设计发配电系统设计课程设计

    发配电系统设计课程设计设计内容:1号教学楼供配电系统设计 姓 名: 院 系: 物理与机电工...

    1年前   
    350    0

    XX加工厂供配电系统设计供配电系统设计报告课程设计

    xx大学 供配电系统设计报告 课 题 某加工厂供配电系统设计 专业班级 自动化**** ...

    4年前   
    1127    0

    数字信号处理课程设计FIR数字滤波器设计及MATLAB的实现

    数 字 信 号 处 理课程设计题目: FIR数字滤波器设计及MATLAB的实现学院: 专业: 班级: 学号: 姓名: 指导教师: 摘要...

    7个月前   
    225    0

    数字电路课程设计报告数字电子钟逻辑电路设计

     数字电路课程设计报告设计课题: 数字电子钟逻辑电路设计 班 级:电子科学与技术 姓 名: 学 号: ...

    11个月前   
    252    0

    系泊系统的设计

    本文对系泊系统的设计问题进行了分析,给出合理的假设,建立优化模型,巧妙地解决了题目中所提出的问题。针对问题一,首先采用集中质量的多边形近似法对单点系泊系统进行静力学分析,结合单点浮标系统特性,建...

    4年前   
    1319    0

    基于单片机的数字温度计设计课程设计

    基于单片机的数字温度计设计引言随着现代信息技术的飞速发展和传统工业改造的逐步实现.能够独立工作的温度检测和显示系统应用于诸多领域。传统的温度检测以热敏电阻为温度敏感元件。热敏电阻的成本低,但需...

    3年前   
    929    0

    数字信号的处理与滤波设计课程设计

    — — 装 订 线 — —数字信号的处理与滤波 摘要: 数字信号处理是利用计算机或专用处理设备,以数值计算的方法,对信号进行采集、滤波、增强、压缩、估值和识别等加工处理,...

    3年前   
    466    0

    基于原理图的数字跑表设计课程设计

    XX大学设计报告课程名称: 基于FPGA的现代数字系统设计 设计名称: 基于原理图的数字跑表设计 姓 名: 学 号: ...

    10个月前   
    313    0

    PID数字调节器的设计(LCD显示)课程设计

    智能仪表综合训练设计说明书题 目:PID数字调节器的设计(LCD显示)学生姓名:学 号:专 业:测控技术与仪器班 级:2009指导教师:(教授)摘 要 目前,在过...

    2年前   
    328    0

    智能数字万用表电子设计大赛设计报告

    电子设计大赛设计报告 课题名称: 智能数字万用表 指导教师:学生班级: 电子 学生姓名:学 号:学生院系: 管理技术学院计科系 20...

    1年前   
    270    0

    数字电子钟电路设计电子课程设计报告

     (电子技术课程) 设计说明书 数字电子钟电路设计 起止日期: 2016 年 11月14日 至 2016年 11月 18 日 学...

    5年前   
    1493    0

    文档贡献者

    l***金

    贡献于2019-05-04

    下载需要 10 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档