LED点阵显示屏设计报告


    
    XX学

    开发性实验结题报告














    学 院: 电子工程学院
    班 级:光信 姓 名: 学 号:
    班 级:光信 姓 名: 学 号:
    班 级:光信 姓 名: 学 号:

    2014年X月X日



    1632点阵LED电子显示屏
    摘:
    设计16×32点阵LED电子显示屏设计
    整机美国ATMEL 公司生产40脚单片机AT89C52核心介绍控制系统LED点阵电子显示屏动态设计开发程通该芯片控制两行驱动器74HC573四列驱动器74HC573驱动显示屏显示该电子显示屏显示种文字单色图全屏采8块8×8点阵LED显示模块组成16×32点阵显示模式文中详细介绍LED点阵显示硬件设计思路硬件电路部分功原理相应软件程序设计说明等
    单片机控制系统程序采单片机C语言进行编辑通编程控制显示点应LED阳极阴极端电效控制显示点亮灭LED显示组构方式灵活显示稳定功耗低寿命长技术成熟成低廉等特点广泛应

    关键词:AT89C51单片机LED点阵显示动态显示C语言


    LED显示屏利发光二极点阵模块素单元组成面式显示屏幕具发光效率高寿命长组态灵活色彩丰富室外环境适应力强等优点广泛应公交汽车码头商店学校银行等公场合信息发布广告宣传LED显示屏历单色双色图文显示屏现全彩色视频显示屏发展程20世纪八十年代开始LED显示屏应领域已遍布交通电信教育证券广告宣传等方面


    1 LED点阵显示屏概述

    LED点阵显示屏构成型式种中典型两种种需展示广告信息烧写固化EPROM芯片进行固定容幅汉字显示称单显示型种机设置字库程序库具程序编制力进行容变幅汉字显示称编程序型
    目前国LED点阵显示屏部分单显示型显示容相较少显示花样较单般产品出厂时显示容已写入显示屏控制系统中EPROM芯片需更换显示容时非常困难样该类型显示屏范围受限制
    国种LED显示屏——编程序型LED显示屏然增加显示屏系统编程力显示容显示花样增加存着更换显示容便缺点着社会济迅速发展广告牌存着显示容丰富信息量信息更换速度快等特点传统LED显示屏控制系统已越越满足现代广告宣传业需利PC机通信技术控制LED显示屏具显示容丰富信息更换灵活等优点
    2 LED显示屏控制技术状况
    显示屏控制系统包括输入接口电路信号控制转换数字化处理电路输出接口电路等涉具体技术关键技术包括串行传输行传输技术动态扫描静态锁存技术动检测远程控制技术等

    二 系统总体设计方案
    1 需实现功
    设计室16×32点阵LED图文显示屏求目测条件LED显示屏点亮度均匀充足显示图形文字显示图形文字应稳定清晰串扰图形文字显示静止移入移出等显示方式
    2 LED显示特点
    理说显示图形文字控制组成图形文字点位置相应LED器件发光通常事先需显示图形文字转换成点阵图形显示控制求定格式形成显示数控制通断图文显示屏说LED发光器件占数中1位(1bit)需该LED器件发光数中相应位填1否填0然根控制电路安排相反定义样行样需显示图形文字显示屏行列逐点填写显示数构成显示数文件
    显示图形数文件格式相够满足显示控制求文字点阵格式较规范采现行计算机通字库字模组成字点阵16×1624×2432×3248×48等规格汉字点阵结构相应显示数16进制格式字节单位表示
      点阵方式构成图形文字非常灵活根需意组合变化设计合适数文件满意显示效果采点阵式图文显示屏显示常需变化信息非常效点阵显示方式适应信息变化优点点阵显示器价格复杂控制电路代价点阵显示器整显示单元位置布置LED器件数码类显示器件需发光七段位置布置LED器件位置空白点阵显示器相面积情况价格贵数码显示信息限0~9单英文字符组合形成字符显示数控制电路较简单点阵显示器然点阵全部LED进行控制生成显示图形文字显示数控制电路然复杂
    3 设计方案证:
    31显示模式方案
    吸引观众增强显示效果种显示模式简单显示模式静态显示里说静态显示模式静态驱动方式静态显示模式相应种动态显示模式显示图文够动图文运动特点分闪烁移旋转缩放等种显示模式产生显示模式方法意味着定重新编写显示数通定算法原显示数直接生成例序调整行号显示图文产生移序调整列显示数位置达左右移目时调整行列序角线移效果模式数刷新找相应算法算法太复杂太浪费时间话考虑预先生成刷新数存储备刷新时间控制考虑运动图形文字显示效果刷新太慢动感显著刷新太快中间程清般刷新周期控制十毫秒范围
    动态扫描意思简单说逐行轮流点亮样扫描驱动电路实现行(16行)名列套列驱动器行行驱动器具体16×32点阵说行发光阳极连起列发光阴极连起(阳接法)行译码器出行选通信号第行开始序次行进行扫描(该行电源端接通)
    方面根列锁存数确定相应列驱动器否该列电源端接通接通列该行该列燃亮相应LED未接通列应LED熄灭行扫描持续时间结束该行燃亮LED熄灭行样方法进行显示全部行扫遍(扫描周期)第行开始周期扫描扫描轮回速度足够快(秒24次)眼视觉暂留现象容易感觉出闪烁现象显示屏稳定图形动态扫描方式功耗低硬件成低LED连续工作利延长LED寿命
    3.2 数传输方案
    采扫描方式进行显示时显示数通常存储单片机存储器中8位字节形式序排放显示时行中列数传送相应列驱动器存显示数传输方式问题控制电路列驱动器数传输采行方式串行方式显然采行方式时控制电路列驱动器线路数量相应硬件数目列数时行传输方案取
    采串行传输方法控制电路根信号线列数位位传列驱动器硬件方面疑十分济串行传输程较长数行串行串行行两次变换首先单片机存储器中读出8位行数通串变换序位位输出列驱动器时列驱动器中列前数传列前列接收新数直全部列数传输完止行列数已传输位行列行进行显示样行显示程分解列数准备(传输)列数显示两部分串行传输方式说列数准备时间相长行扫描周期确定情况留行显示时间太少影响LED亮度
    解决串行传输中列数准备列数显示时间矛盾问题采重叠处理方法显示行列数时准备行列数达重叠处理目列数显示需具锁存功
    述分析纳出列驱动器电路应具备功列数准备说应实现串入出移位功列数显示说应具行锁存功样行已准备数入行锁存器进行显示时串移位寄存器准备行列数会影响行显示图21显示屏电路实现结构框图

    单片机
    列驱动器
    四74HC573
    两74HC573




    LED显示点阵
    16x32
    电源
    显示屏电路框图







    三 硬件系统设计
    1 元器件选择

    元件编号
    规格参数
    LED
    16x32点阵
    PCB板
    20cm*20cm环氧板
    U1~ U6
    74HC573
    U7
    AT89C52
    U8U9
    74HC02
    R1~R33
    330欧姆
    C1C2
    22pF
    晶振
    1

    行性分析:作品选52做核心相芯片52读取方便价格低廉选取13802选通74HC573做行列驱动器选取1380213802选通573138选通573时573默认关闭软件编写提供便利573595做驱动573熟悉前学硬件复巩固作没2803做驱动573提供电压完全提供16x32LED灯两端电压

    2 芯片简介
    (1)AT89C52
    AT89C52美国ATMEL公司生产8位Flash ROM单片机突出优点片ROMFlash ROM擦写1000次应复杂通ROM写入器方便擦写读取方便价格低廉具片程序ROM二级保密系统灵活应种控制领域AT89C52包含功部件:
    (1)8位CPU
    (2)片振荡器时钟电路
    (3)4KB Flash ROM
    (4)128B RAM
    (5)寻址64KB外ROM外RAM控制电路
    (6)两16位定时计数器
    (7)21特殊功寄存器
    (8)48位行IO口
    AT89C52单片机般采双列直插DIP封装40引脚图33引脚排列图40引脚致分4类:电源时钟控制IO引脚
    电源
    Vcc——芯片电源接+5VGND——接端
    时钟
    XTAL1XTAL2——晶体振荡电路反相输入端输出端






    单片机系统外围电路



    (2)74HC573

    编程时先端置1时输出数输入数致输出数锁定防止误操作端清0时输出端保持原值变化(1置1 2数输入锁存器输入端(输出输入) 3置0(输
    出恒定先前输入)(达锁存功))
    74HC系列数字集成电路5V供电时输出高电接5V带负载输出495V左右 图里需573输出高电段码138输出位码进行动态显示图错LED安全573输出端7LED连接间应串联300Ω电阻

    附加 锁存器作
    锁存器(Latch)种脉电敏感存储单元电路特定输入脉电作改变状态锁存信号暂存维持某种电状态锁存器作缓存次完成高速控制慢速外设步问题次解决驱动问题解决 IO 口输出输入问题
    (3)74HC02

    74HC02 概述

      74HC02款高速CMOS器件74HC02遵循JEDEC标准no7A74HC02引脚兼容低功耗肖特基TTL(LSTTL)系列
      74HC02实现4路2输入非门功


    74HC02 特性
    · 输入电
    o 74HC02 CMOS电
    · ESD保护
    o HBM JESD22A114E超2000 V
    o MM JESD22A115A超200 V
    · 选种封装类型
    · 温度范围
    o 40~+85 ℃
    o 40~+125 ℃


    (4)74HC138
    CD74HC138 CD74HC238CD74HCT138 CD74HCT238高速硅栅CMOS解码器适合存址解码数路应74HC138 作原理高性存贮译码求传输延迟时间短数传输系统高性存贮器系统中种译码器提高译码系统效率快速赋电路高速存贮器时译码器延迟时间存贮器赋时间通常存贮器典型存取时间说肖特基钳位系统译码器引起效系统延迟忽略计HC138 三位二进制输入码赋输入条件8 输出端中译出 低电输出两低电效赋输入端高电效赋输入端减少扩展需外接门倒相器扩展成24 线译码器需外接门扩展成32 线译码器需接外接倒相器解调器应中赋输入端作数输入端

    3 行列驱动电路
    行列驱动电路集成电路74HC573构成具8位串行输入输出者行输出移位寄存器8位输出锁存器结构移位寄存器输出锁存器控制独立实现显示行列数时传送行列数达重叠处理目
    74HC系列数字集成电路5V供电时输出高电接5V带负载输出495V左右 图里需573输出高电段码138输出位码进行动态显示图错LED安全573输出端7LED连接间应串联300Ω电阻
    行两573分控制列四573控制行高电列低电实现
    点阵控制


    4 LED显示屏电路
    LED显示屏发光二极行列布置驱动时行列驱动扫描驱动方式行扫描列控制然列扫描行控制LED显示屏现采块8×8点阵显示单元拼接成文8块SBM1388型号实验模块组成16×32点阵满足汉字显示求8×8 LED点阵基点阵显示模块理解8×8 LED点阵工作原理基掌握LED点阵显示技术8×8点阵LED结构图310示等效电路图311示:














    图310 8×8点阵LED结构图




    图中(图LED阵列采阳接法)出8×8点阵需64发光二极组成发光二极放置行线列线交叉点实现显示图形字体需考虑显示方式通编程控制显示点应LED阳极阴极端电效控制显示点亮灭采行扫描列控制驱动方式时LED显示屏8行名列套列驱动器行驱动器行行线连接电源端列驱动器列列线连接电源端应时应条行线列线接限流电阻扫描中控制电路行线1 8轮流接通高电位连接该行全部LED器件接通正电源具体LED导通负电源否接通列控制务应某列置0电相应二极亮反亮例:果想屏幕左角LED点亮左角LED熄灭话扫描第行时第列电位应该低扫描第八行时第列电位应该高样行线行行轮流导通列线进行通断控制实现行扫描列控制驱动方式

    四 系统软件设计
    单片机系统中硬件系统基础软件硬件基础合理调配完成应系统完成务软件设计设计控制系统应程序务总体设计硬件设计基础确定程序结构分配RAM资源划分功模块然进行程序模块程序设计连接起成完整应程序
    进行系统总体设计时规划软件结构硬件系统尚未仔细确定软件结构框图十分粗糙硬件设计接口扩展功模块CPU连接关系确定够具体明确软件设计求设计LED显示屏软件功屏体提供显示数产生种控制信号屏幕设计求显示根软件分层次设计原理显示屏软件系统分成两层:第层底层显示驱动程序第二层层系统应程序显示驱动程序负责屏体送显示数负责产生行扫描信号控制信号配合完成LED显示屏扫描显示工作显示驱动程序定时器T0中断程序实现系统应程序完成系统环境设置(初始化)显示效果处理等工作程序实现


    1 编程思路
    根硬件电路单片机控制原理编程思路:
    a先相关变量进行初始化
    b调显示程序
    c装载汉字第行数显示出
    d次显示汉字第二行第十六行
    e结束

    程序见附录1











    2 流程图:
    调显示程序序

    扫描第1行显示

    显示汉字
    延时
    扫描第2行显示

    次扫描34…16行
    结束
    开始



    五 调试性分析
    单片机系统总体设计完成硬件软件设计开发元器件安装系统程序存储器中写入编制应程序系统运行编制程序焊接线路预计样正常工作常见事少会出现硬件软件错误需通调试发现错误加改正调试分硬件调试软件调试允许条件根设计系统需求性首先采PC机模拟开发软件进行检测调试然进行硬件组装调试
    1 软件调试
    软件调试采脱机调试方法完全仿真器软件PC机目标电路原理图程序进行检测调试调试程中单片机相应输入端通键盘鼠标设定运行状态寄存器状态端口状态等CRT指定窗口区域显示出确定程序运行错误调试列步骤进行:
    目标程序纠错
    该阶段工作通常目标程序编辑时完成般说仿真器软件户输入程序指令纠错包括书写格式标号未定义重定义转移址溢出等错误
    整体程序综合调试
    子程序整体连起进入综合电路调试否实现预计功显示阶段发生障考虑子程序运行时否破坏现场数缓单元否发生突标志位建立清设计否失误堆栈否溢出输入输出状态否正常等


    2 硬件调试
    单片机应系统硬件调试软件调试分开许硬件障软件调试时发现通常先排系统中明显硬件障调试工作分四步:
    目测检查
    根硬件逻辑设计图仔细检查样机线路否连接正确核元器件型号规格安装否符合求必时万表检测线路通断情况
    电源调试
    样机第次通电测试重样机中存电源障加电造成器件损坏调试方法两种:种断开样机稳压电源输出端检查空载时电源工作情况种拔样机集成芯片检查电源负载力(假负载)确保电源障性符合设计求
    通电检查
    确保电源良前提接通电源电源余电路间串接电流表接通电流必须立切断电源电源超出正常范围说明电路中短路障通电检查目系统否存短路元器件损坏装配错误引起电流异常
    检查相应芯片逻辑关系
    加电检查芯片插座相关引脚电位仔细测量相应输入输出电否正常单片机系统数字逻辑电路电检查法首先查出逻辑设计否正确选器件连接关系否符合求等
    根实践证明方案设计16×32点阵LED图文显示屏结构合理成较低较容易扩展成更显示屏显示屏点亮度均匀充足显示图形文字稳定清晰串扰静止移入移出等种显示方式显示图形文字

    心:

    仅LED显示屏结构驱动显示电路原理作详细介绍分析LED点阵应广应环境应求种样应方式里做详叙述知识水局限时间仓促设计中存着足真诚接受老师批评指正
    课题选择方案证具体设计步说疑巨尝试挑战断提出新问题然证推翻懂请教学长接着提出新问题复程中篇稚嫩设计日趋完善次改进收获良然设计作品成熟鉴前资料然心里种莫幸福感实实走完整设计应该走程享受程更重设计中加入鲜活思想调试中积累验
    做次设计程中学加深数子电路理解验证学理知识提高基解决实际问题力增加电子设计方面兴趣更重体会做什事真真正正心做会更成长没学实践力实践终目没实践会突破创新希次历工作生活中断成长进步
    里特感谢学长谢谢悉心指导










    参考文献:马家辰MCS51单片机原理接口技术哈尔滨工业学出版社1997
    胡汉单片机原理应清华学出版社
    邱丽芳单片机原理应 [M]北京民邮电出版社2007



    附录1
    #include

    #define uchar unsigned char
    #define uint unsigned int

    uchar code atable[]{0x010x020x040x080x100x200x400x800x000x000x000x000x000x000x000x00}
    uchar code btable[]{0x000x000x000x000x000x000x000x000x010x020x040x080x100x200x400x80}

    void delay(uint i) 延时1ms
    {
    uint xy
    for(xix>0x)
    for(y115y>0y)
    }

    void clear() 清屏
    {

    P20xa0P00xff
    P20xc0P00xff
    P20x00P00xff
    P20x20P00xff
    P20x40P00xff
    P20x60P00xff
    }

    void main(void)
    {
    uint x
    clear()
    WR 0
    while(1)
    {
    P20xa0
    P0atable[0]
    P20xe0
    P0btable[0]
    P20x60
    P00xf7
    P20x40
    P00x7f
    P20xc0
    P00xff
    P20x00
    P00xff
    delay(2) 扫描第1行


    P20xa0
    P0atable[1]
    P20xe0
    P0btable[1]
    P20x60
    P00xfb
    P20x40
    P00x07
    P20xc0
    P00xfe
    P20x00
    P00xff
    delay(2) 2

    P20xa0
    P0atable[2]
    P20xe0
    P0btable[2]
    P20x60
    P00xfd
    P20x40
    P00x7f
    P20xc0
    P00xff
    P20x00
    P00xff
    delay(2) 3

    P20xa0
    P0atable[3]
    P20xe0
    P0btable[3]
    P20x60
    P00xfe
    P20x40
    P00x7f
    P20xc0
    P00xff
    P20x00
    P00xff
    delay(2) 4


    P20xa0
    P0atable[4]
    P20xe0
    P0btable[4]
    P20x60
    P00xff
    P20x40
    P00x07
    P20xc0
    P00x01
    P20x00
    P00xff
    delay(2) 5

    P20xa0
    P0atable[5]
    P20xe0
    P0btable[5]
    P20x60
    P00xff
    P20x40
    P00x7f
    P20xc0
    P00x7f
    P20x00
    P00xff
    delay(2) 6


    P20xa0
    P0atable[6]
    P20xe0
    P0btable[6]
    P20x60
    P00xff
    P20x40
    P00x7f
    P20xc0
    P00xbf
    P20x00
    P00x93
    delay(2) 7

    P20xa0
    P0atable[7]
    P20xe0
    P0btable[7]
    P20x60
    P00x80
    P20x40
    P00x07
    P20xc0
    P00xbf
    P20x00
    P00x6d
    delay(2) 8

    P20xa0
    P0atable[8]
    P20xe0
    P0btable[8]
    P20x60
    P00xbf
    P20x40
    P00xff
    P20xc0
    P00xbf
    P20x00
    P00x7d
    delay(2) 9

    P20xa0
    P0atable[9]
    P20xe0
    P0btable[9]
    P20x60
    P00xbf
    P20x40
    P00xff
    P20xc0
    P00xbf
    P20x00
    P00xbb
    delay(2) 10

    P20xa0
    P0atable[10]
    P20xe0
    P0btable[10]
    P20x60
    P00x80
    P20x40
    P00x27
    P20xc0
    P00xbf
    P20x00
    P00xd7
    delay(2) 11

    P20xa0
    P0atable[11]
    P20xe0
    P0btable[11]
    P20x60
    P00xfe
    P20x40
    P00xdb
    P20xc0
    P00xbe
    P20x00
    P00xef
    delay(2) 12

    P20xa0
    P0atable[12]
    P20xe0
    P0btable[12]
    P20x60
    P00xfe
    P20x40
    P00xfb
    P20xc0
    P00xbe
    P20x00
    P00xff
    delay(2) 13

    P20xa0
    P0atable[13]
    P20xe0
    P0btable[13]
    P20x60
    P00x80
    P20x40
    P00x77
    P20xc0
    P00xbf
    P20x00
    P00xff
    delay(2) 14

    P20xa0
    P0atable[14]
    P20xe0
    P0btable[14]
    P20x60
    P00xff
    P20x40
    P00xaf
    P20xc0
    P00xbf
    P20x00
    P00xff
    delay(2) 15

    P20xa0
    P0atable[15]
    P20xe0
    P0btable[15]
    P20x60
    P00xff
    P20x40
    P00xdf
    P20xc0
    P00xbf
    P20x00
    P00xff
    delay(2) 16
    }
    }
    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 22 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    基于51单片机的LED点阵显示屏设计

    目录摘要 IIAbstract III第一章 绪论 11.1 课题背景 11.2 选题意义 11.3 论文主要内容 1第二章 方法论证对比 32.1 单片机编程语言 32.2 控制系统设计 3...

    3年前   
    603    0

    LED显示屏设计方案

    LED显示屏设计方案1、施工方案概述1.1工程概况LED多媒体信息屏系统是一个集计算机网络技术、多媒体视频控制技术和超大规模集成电路综合应用技术于一体的大型的电子信息显示系统,具有多媒体、多途...

    3年前   
    723    0

    关于LED显示屏的维修报告

    关于LED显示屏的维修报告 项目名称 交通银行LED显示屏的维护与维修 项目性质 维护、维修 施工单位 **科锐光电科技有限公司 项目地点 交通银行**市各支行网点室内外显示屏...

    11年前   
    15443    0

    LED显示屏广告合同

    LED显示屏广告合同甲方(LED屏拥有方): (以下简称甲方)乙方(广告方): (以下简称乙方)根据《中华人民共和国合同法》、...

    11年前   
    876    0

    LED显示屏广告合同

    LED显示屏广告合同甲方(LED屏拥有方): (以下简称甲方)乙方(广告方): (以下简称乙方)根据《中华人民共和国合同法》、...

    3年前   
    814    0

    LED显示屏广告投放合同

    广告发布合同甲方: 乙方: 甲乙双方依据《合同法》、《广告法》等有关法规,经协商订立本协议,并签订如下条款:一、 广告牌位置:本合同中所指的广告牌位于二、 广告牌形式:本合同所指的广告牌为LE...

    11年前   
    757    0

    LED显示屏广告投放合同

    广告发布合同甲方: 乙方: 甲乙双方依据《合同法》、《广告法》等有关法规,经协商订立本协议,并签订如下条款:一、 广告牌位置:本合同中所指的广告牌位于二、 广告牌形式:本合同所指的广告牌为LE...

    3年前   
    821    0

    LED显示屏管理办法

                        LED显示屏管理办法       拟稿:          审核:          审批:          ...

    5年前   
    2700    0

    户外LED显示屏投标书

    XX国际销展中心室外全彩屏系统采购及安装项目 投标文件投 标 人: XX科技有限公司 编 制 日 期 : 2014年 X月X日 ...

    1年前   
    278    0

    LED电子显示屏系统合同书

    LED电子显示屏系统合同书甲方: 乙方: 根据中华人民共和国经济合同法及有关规定,就甲方委托乙方承接室内LED显示屏项目(以下简称项目)事宜,...

    4年前   
    1095    0

    LED显示屏售后服务方案

    技术服务与培训及售后服务、质量保证承诺技术服务与培训计划:一、技术培训为了使业主能对整个弱电系统能有全面的了解,给使用者提供技术指导,使得业主有能力处理常见故障,并消除各个弱电系统因使用或操作...

    1年前   
    443    0

    Led显示屏维修合同

    Led显示屏维修、代维护合同   甲方:                                           (简称甲方) 乙 :                    ...

    9年前   
    9876    0

    LED显示屏维护手册

    LED显示屏维护说明一、 LED显示屏使用注意事项及日常维护1. 开关显示屏注意事项: A. 开关顺序:开屏时:先开机,后开屏。 关屏时:先关屏,后关机。 (先关计算机不关显示屏,会造成屏体出...

    3年前   
    635    0

    LED显示屏屏订购与安装合同

      甲方:**恒顺房地产开发有限公司 乙方: **盛世东方光电科技有限公司 二 0 一四年九月十八日                                        ...

    9年前   
    7902    0

    基于STM32点阵电子显示屏

    基于STM32点阵电子显示屏基于STM32点阵电子显示屏摘 要如今的社会技术和科技的发展日新月异。LED点阵显示屏广泛应用于各种公共场合。例如,在国防工业和国防系统的展示中,在商场、地铁、学...

    2年前   
    1049    0

    欧蓝LED显示屏户外全彩方案

    室外全彩 LED 显示屏设 计 方 案北京欧蓝德畅电子技术二〇一〇年四月目 录1 设计前言 41.1 欧蓝简介 41.2 欧蓝LED显示屏技术特点 42 设计方案 72.1 设计...

    2年前   
    376    0

    LED全彩显示屏安装调试培训手册

    显示屏安装调试流程第一章:屏体安装:将单元板装到已经做好的框架上,接好电源,。单元板之间用短排线相连。安装过程中留意电源的极性及排线的连接是否正确牢靠。屏幕信号传输如图 1-1 所示:图 1-...

    1年前   
    570    0

    室内全彩P2.5 160000点LED显示屏技术方案

    LED电子显示屏是集微电子技术、计算机技术、信息处理于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点,成为众多显示媒体中的佼佼者,广泛用于商业广告、体育场馆...

    6年前   
    1968    0

    Led显示屏广告发布合同书

    Led显示屏广告发布合同书甲方:乙方:甲乙双方根据《中华人民共和国合同法》、《中华人民共和国广告法》及其他有关法律法规,就乙方委托甲方在本合同项下的LED户外广告屏媒体位置发布广告事宜签订本合...

    8年前   
    519    0

    **市委组织部LED显示屏设备采购

    1、本工程为韶关市委组织部LED显示屏设备采购工程。2、所有设备交货后的拆箱、安装、调试等工程工作〔包括费用〕均由投标人负责,但必须在用户指定人员的参与下进行。

    2年前   
    358    0

    文档贡献者

    文***品

    贡献于2021-01-12

    下载需要 22 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档