香当网——在线文档分享与服务平台

您在香当网中找到 761509个资源

P9

 通过MCU实现Altera-FPGA在线升级

 通过MCU实现Altera FPGA在线升级一.问题背景 在实际工程应用中,我们时常会遇到为解决某个老产品的BUG,需要在工程现场更新设备的FPGA代码,或者参加电信测试时需要现场升级设备FPGA

2020-10-17    507    0
P17

 企业应用整合--异构应用的业务流程自动化

1. 企业应用整合 --- 异构应用的业务流程自动化 2. 遗留应用的整合企业中还有大量的遗留应用系统,如何将它们与新开发应用整合起来。 通过异构系统的整合,保护现有的投资,还可以方便地产生新的商务流程从而带来新的利润!

2011-01-02    9868    0
P28

 Python讲义

2019.3 济宁 第一部分 初识Python 1、Python语言简介及其特色 l Python高效强大:它有非常完善的基础代码库(标准库,开源库,自定义模块),有庞大的外围库来支持。在科学计算、

2020-03-02    1405    0
P35

 高性能混凝土的配制与应用

高性能混凝土的配制与应用 目  录                    一、高性能混凝土的基本概念……………………………………………………1     (一)出现背景………………………………………………………………1

2019-04-18    1224    0
P22

 基于PYNQ平台的手写数字识别

基于PYNQ平台实现的手写数字识别 1设计概述 1.1PYNQ平台简介 PYNQ全称为Python Productivity for Zynq,即在原有Zynq架构的基础上,添加了对python的支持

2019-04-04    8801    0
P74

 基于python的爱车分享交流网站设计与开发

 基于python的爱车分享交流网站设计与开发 网站系统 摘 要 设计开发该爱车分享交流网站系统主要是应用于有关汽车领域的网上社交,为用户提供信息化、数字化的分享交流平台,满足用户在此网站上发出对自

2021-09-11    480    0
P3

 FPGA开发工程师岗位职责模板

FPGA开发工程师岗位职责模板 1、与软硬件团队共同负责BBU-RRU产品整体系统架构,包括器件选型,器件间接口,软硬件功能划分等; 2、负责4G/5G基站产品中FPGA子系统的方案选择,接口定义,模块划分,逻辑开发等;

2021-11-25    472    0
P2

 fpga开发工程师岗位职责极简版

fpga开发工程师岗位职责极简版 .负责开发4G/5G移动通信产品的RU/DU(射频单元/数字单元)中FPGA/ASIC开发 .负责CPRI/DDC/DUC/CFR/DPD/JESD/DU/NR/等

2021-11-25    594    0
P20

 快速离线安装配置Android 开发环境

介绍安装过程。 1. 安装JDK:运行jdk-7u3-windows-i586.exe; 这里在“开发工具“和“源代码“和“公共JRE“左键点击,然后选择“从功能及所有子功能将安装在本地硬盘驱动器上“

2022-07-06    232    0
P9

 实验3快速傅立叶变换及其应用

成 绩 实验题目: 实验3 快速傅立叶变换及其应用 一、 实验目的 (1) 在理论学习的基础上,通过本实验,加深对FFT的理解,熟悉MATLAB重点有关函数。 (2) 应用FFT对典型信号进行频谱分析。

2022-12-05    373    0
P10

 快速血脂仪检测仪的应用

快速血脂仪检测仪的应用 随着目前人们生活水平的提高,高脂肪高含量的食物在人群中的应用量已经逐年增加。而脂肪和脂类以及蛋白质主要存在在肉类以及油脂类植物中,据有关数据统计,目前我国的肉类,年使用量正在

2020-08-28    663    0
P7

 化学原料药开发-异构体的分类 ISOMERS

ISOMERSDefinitionIsomers are compounds that have the same molecular (empirical) formula, but different structures, and demonstrate physico-chemical and pharmacological differencesClassification

2022-06-02    496    0
P21

 基于FPGA的数字跑表

 基于FPGA的数字跑表 学院:物电学院 姓名: 学号: 班级:班 日期:2011年X月X日 目录 一、实例的主要内容 3 二、实验目标: 4 1初步掌握Verilog HDL语言的设计方法 4 2、完成一个数字跑表的设计。

2020-12-28    665    0
P87

 《HELLO FPGA》- 硬件语法篇

程语言,例如我们熟知的 C、Java 等等,而 FPGA 设计使用的是 HDL 语言,例如 VHDL 和 Verilog HDL。说的直白点,FPGA 的 设计就是逻辑电路的实现,就是把我们从数字电路中学到的逻辑电路功能,使用硬件描述语言

2018-10-12    2077    0
P4

 手机评测模板

               手机评测模板 外观 造型,材质,手感,颜色,按键布局,开孔数量位置等 屏幕 材质及技术,PPI,色温,黑边大小,第一观感,可视角度等 相机 对焦速度,夜拍能力,解析力,白平衡,对比其他手机(提供样张)

2015-08-15    6400    0
P8

 Lightboard在线教学开发应用

Lightboard在线教学开发应用 摘要:随着教师出镜在网络课程中获得日益普遍的使用,学生对影响他们的注意状况和学习效果、显著增强沉浸感以及师生的互动性也提出了更高的要求。为解决目前广泛使用的P

2022-10-14    331    0
P55

 消防、安全管理制度(已通过消防评估验收)

内部装修选用的材料要符合国家、行业安全标准,并优先选用推荐材料及品牌。非推荐品牌的质量和外观设计应优于推荐品牌且该品牌通过国家 “3C”认证、环评认证。 第二十三条 施工单位必须严格遵守国家规范、行业相关标准及商场有关规定。

2020-01-26    1084    0
P3

 同课异构听课心得

同课异构听课心得   纸坊五里界中学于9月份举行了“同课异构”的教学活动,我有幸于第一天上午听了三节课,听后心情久久不能平静静下来。三位教师的教学基本功的确扎实,驾驭课堂的能力一点也不比名师逊色。我

2014-04-07    718    0
P45

 Python库参考手册

Python库参考手册(Python Library Reference) Release 2.3.3 Guido van Rossum Fred L. Drake, Jr., editor 翻译团队:

2019-06-04    3123    0
P96

 《Python程序设计》题库

《Python程序设计》题库 一、填空题 第一章 基础知识 1、Python安装扩展库常用的是_______工具。(pip) 2、Python标准库math中用来计算平方根的函数是__________。(sqrt)

2022-04-27    559    0
1 2 3 4 5 ... 50