电子技术课程设计智能洗衣机控制器


    




    电子技术基础课程设计

    ——智洗衣机控制器
























    目录
    课程设计目

    二课程设计课题求
    1 课程设计课题
    2 课程设计求

    三设计思路程
    1 设计前提概述
    2 ASM图
    3 状态图
    4 输入输出设计

    四程序容

    五仿真波形图
    1 模式仿真
    2 模式二仿真
    3 模式三仿真
    4 模式四仿真
    5 模式五仿真
    六课设感想收获
    课程设计目
    1.解数字系统组成学数字系统设计方法
    2.学数字系统设计法工具-ASM图
    3.熟悉现代数字系统实现方法:PLD器件取代传统中规模集成器件实现数字电路系统
    4 学分层次化实现数字电路系统方法
    5.学硬件描述语言(Hardware Description Language)数字电路系统进行建模仿真实现方法


    二课程设计课题求
    1 课程设计课题
    设计智洗衣机(全动洗衣机)控制器够实现洗衣漂洗脱水功

    2 课程设计求
    够键模拟洗衣机控制够设置工作模式便观察洗衣机工作模式剩工作时间数码显示出够洗衣机前处状态发光者数码显示出
    模式1:洗特脏衣服( 洗衣30秒钟)
    模式2 :洗脏衣服 (洗衣20秒钟)
    模式3 :洗般衣服(洗衣10秒钟)
    模式4 :漂洗(次漂洗5秒钟)
    模式5 :脱水(次脱水3秒钟)
    洗衣全程:洗衣+脱水+漂洗+脱水+漂洗+脱水注水完成外部传感器S1表示
    漂洗模式:漂洗+脱水+漂洗+脱水注水完成外部传感器S1表示
    脱水模式:脱水
    注:操作完毕蜂鸣器鸣两秒提示


    三设计思路程
    1 设计前提概述
    设计务实现模式间切换状态间转变
    课题已求模式五五模式五模式思考
    外课题明确求三状态:洗衣漂洗脱水注水洗衣机必须进行操作占整模式中部分时间注水作种状态洗衣漂洗前必须注水
    综设计模式五:模式模式二模式三模式四模式五设计状态四:注水洗衣漂洗脱水分应s1s2s3s4
    2 ASM图


    状态规定具体时间时间发生转换图中T1T2T3T4T5T6TT表示时间控制状态转换程序中具体T1T2T3T4T5T6TT表示作图简便图中作具体说明
    3 状态图






    图中S1S2S3S4分应状态注水洗衣漂洗脱水图中T1T2T3T4T5T6TTASM图中符号ASM图中已说明详情见程序里重复说明

    4 输入输出设计
    程序5模式:模式模式二模式三模式四模式五4状态:注水洗衣漂洗脱水
    设计6输入键分K1K2K3K4K5K6K1控制模式键K2控制模式二键K3控制模式三键K4控制模式四键K5控制模式五键K6控制洗衣机工作键
    输出设备发光二极四数码发光二极亮表示注水完成发光二极灭表示正注水数码显示前模式12345分表示模式模式二模式三模式四模式五数码表示前状态1234分表示注水洗衣漂洗脱水状态两数码显示前模式剩时间时间1递减0


    四程序容
    module washclother(clkclkkk1k2k3k4k5k6statemodettimealarmzhushui)
    input clk 整程序时钟信号
    input clkk 扬声器时钟信号
    input k1 模式控制键
    input k2 模式二控制键
    input k3 模式三控制键
    input k4 模式四控制键
    input k5 模式五控制键
    input k6 工作键
    output [30] state 状态 数码显示信号
    output [30] mode 模式 数码显示信号
    output [70] ttime 模式总时间
    output alarmzhushui
    reg zhushui 注水信号
    reg alarm 扬声器信号
    reg [30] jiao 扬声器响时间计时
    reg [70] ttime
    reg [30] mode
    reg [30] state
    reg work 开始工作信号
    reg over 结束信号

    always @(posedge clk or posedge k6)
    begin
    if(k61) k6work1开始工作
    work<1
    else k6未模式初始化
    begin
    over<1
    jiao<4'b0000
    work<0
    if(k11) 初始化模式
    begin
    ttime<8'h55
    mode<4'b0001
    end
    else if(k21) 初始化模式二
    begin
    ttime<8'h45
    mode<4'b0010
    end
    else if(k31) 初始化模式三
    begin
    ttime<8'h35
    mode<4'b0011
    end
    else if(k41) 初始化模式四
    begin
    ttime<8'h20
    mode<4'b0100
    end
    else if(k51) 初始化模式五
    begin
    ttime<8'h5
    mode<4'b0101
    end
    else 初始化0
    begin
    mode<4'b0000
    state<4'b0000
    ttime<8'h0
    end
    end
    if(work1) 开始某模式工作
    begin
    case(mode) 确定模式
    4'b0001begin 模式程
    if(((ttime>8'h53)&&(ttime<8'h56))||((ttime<8'h21)&&(ttime>8'h18))||((ttime<8'h11)&&(ttime>8'h8)))
    begin 注水状态
    zhushui<1'b0
    state<4'b0001
    end
    else
    zhushui<1'b1
    if((ttime>8'h23)&&(ttime<8'h54))
    state<4'b0010 洗衣状态
    if(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))
    state<4'b0011 漂洗状态
    if(((ttime>8'h20)&&(ttime<8'h24))||((ttime<8'h14)&&(ttime>8'h10))||((ttime<8'h4)&&(ttime>8'h0)))
    state<4'b0100 脱水状态
    if(ttime>8'h0)
    begin 时间递减0
    if(ttime[30]4'b0000)
    begin
    ttime[30]<4'b1001
    ttime[74] end
    else ttime[30] end
    end

    4'b0010begin 模式二程
    if(((ttime>8'h43)&&(ttime<8'h46))||((ttime<8'h21)&&(ttime>8'h18))||((ttime<8'h11)&&(ttime>8'h8)))
    begin
    zhushui<1'b0
    state<4'b0001
    end
    else
    zhushui<1'b1
    if((ttime>8'h23)&&(ttime<8'h44))
    state<4'b0010
    if(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))
    state<4'b0011
    if(((ttime>8'h20)&&(ttime<8'h24))||((ttime<8'h14)&&(ttime>8'h10))||((ttime<8'h4)&&(ttime>8'h0)))
    state<4'b0100
    if(ttime>0)
    begin
    if(ttime[30]4'b0000)
    begin
    ttime[30]<4'b1001
    ttime[74] end
    else ttime[30] end
    end

    4'b0011begin 模式三程
    if(((ttime>33)&&(ttime<36))||((ttime<21)&&(ttime>18))||((ttime<11)&&(ttime>8)))
    begin
    zhushui<1'b0
    state<4'b0001
    end
    else
    zhushui<1'b1
    if((ttime>8'h23)&&(ttime<8'h34))
    state<4'b0010
    if(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))
    state<4'b0011
    if(((ttime>8'h20)&&(ttime<8'h24))||((ttime<8'h14)&&(ttime>8'h10))||((ttime<8'h4)&&(ttime>8'h0)))
    state<4'b0100
    if(ttime>8'h0)
    begin
    if(ttime[30]4'b0000)
    begin
    ttime[30]<4'b1001
    ttime[74] end
    else ttime[30] end
    end
    4'b0100begin 模式四程
    if(((ttime>8'h18)&&(ttime<8'h21))||((ttime<8'h11)&&(ttime>8'h8)))
    begin
    zhushui<1'b0
    state<4'b0001
    end
    else
    zhushui<1'b1
    if(((ttime>8'h13)&&(ttime<8'h19))||((ttime<8'h9)&&(ttime>8'h3)))
    state<4'b0011
    if(((ttime>8'h10)&&(ttime<8'h14))||((ttime<8'h4)&&(ttime>8'h0)))
    state<4'b0100
    if(ttime>8'h0)
    begin
    if(ttime[30]4'b0000)
    begin
    ttime[30]<4'b1001
    ttime[74] end
    else ttime[30] end
    end
    4'b0101begin 模式五程
    if((ttime>8'h3)&&(ttime<8'h6))
    begin
    zhushui<1'b0
    state<4'b0001
    end
    else
    zhushui<1'b1
    if((ttime<8'h4)&&(ttime>8'h0))
    state<4'b0100
    if(ttime>8'h0)
    begin
    if(ttime[30]4'b0000)
    begin
    ttime[30]<4'b1001
    ttime[74] end
    else ttime[30] end
    end
    4'b0000begin 停止工作
    state<4'b0000
    ttime<8'h0
    zhushui<1'b1
    alarm<1'b0
    end
    endcase
    if((over1)&&(ttime[70]8'h1))
    begin
    over<0
    jiao<4'b0010 扬声器鸣2S
    end
    if(jiao>4'b0000)
    begin
    alarm<~clkk 扬声器鸣
    jiao end
    else
    alarm<0
    end
    end
    endmodule



    五仿真波形图

    1 模式仿真






    2 模式二仿真









    3 模式三仿真






    4 模式四仿真




    5 模式五仿真




    六课设感想收获
    次课程设计恐惧兴奋充满挑战次课程设计趣味性强时学东西
    次课设中收获知识时收获阅历收获成熟程中通查找量资料请教老师懈努力仅培养独立思考动手操作力种力提高更重课设程中学会学方法日实真受益匪浅面社会挑战断学实践学实践
    仅解数字系统组成学会数字系统设计方法数字系统设计法工具-ASM图熟悉现代数字系统实现方法:PLD器件取代传统中规模集成器件实现数字电路系统掌握分层次化实现数字电路系统方法解硬件描述语言(Hardware Description Language)数字电路系统进行建模仿真实现方法
    课设程永远纠结刺激值回味某种意义说种锻炼种知识积累力提高完全作基础东西掌握基础更进步取更成绩少会步登天吧永言弃重 帮助苦想变苦乐找寻趣事情发现中珍贵事情中国提倡艰苦奋斗样实验结束变更加成熟会面需面事情
    然二学数电Verilog语言定涉猎熟悉未掌握核心容次课程设计仅复数电知识容Verilog语言更进步解结构语法Verilog语言门实语言应该说门精确语言
    高兴利做完门课程设计迎接挑战吧


    文档香网(httpswwwxiangdangnet)户传

    《香当网》用户分享的内容,不代表《香当网》观点或立场,请自行判断内容的真实性和可靠性!
    该内容是文档的文本内容,更好的格式请下载文档

    下载文档到电脑,查找使用更方便

    文档的实际排版效果,会与网站的显示效果略有不同!!

    需要 2 香币 [ 分享文档获得香币 ]

    下载文档

    相关文档

    电子技术课程设计-可编程时钟控制器

    电子技术课程设计可编程时钟控制器目录设计功能要求 3方案分析选择与系统框图 4模块功能分析 6仿真\下载结果 9主要经验 10操作指南 11相关代码 12设计功能要求一.设计任务数字钟不仅可以...

    3年前   
    577    0

    电力电子技术课程设计

    电力电子技术课程设计姓名 班级 电气 学号 一、 设计要求1.根据给定指标,设计BOOST电路参数,根据公式计算两个...

    1年前   
    419    0

    电子课程设计洗衣机控制电路

    电子课程设计 ——洗衣机控制电路 学院:电子信息...

    3年前   
    608    0

    基于8051的智能小车设计课程设计

    测控电路课程设计题目名称: 智能循迹小车 专业班级: ...

    2年前   
    583    0

    电梯控制器 Verilog语言课程设计

    目录摘 要 2正文 31设计目的及要求 32设计原理 32.1 设计实现原理 32.2项目分块及其实现方案 52.3电梯控制器的流程图 63设计内容 73.1基本状态设计 73.2模块设计 ...

    1年前   
    293    0

    电热水器恒温控制器的设计课程设计

    XX 大 学 微型计算机控制技术 课程设计(论文)题目: 电热水器恒温控制器的设计 院(系): 电气工程学院 专业班级: 自动化 学 号: ...

    3年前   
    517    0

    基于FPGA的多路彩灯控制器的设计课程设计

     FPGA课程设计报告 学院: 信息工程学院班 级: 信息级班姓 名: 学 号: 完成时间: 2015年X月X日 评阅意见: 题目...

    3年前   
    527    0

    数电课程设计报告 音乐彩灯控制器

     电子技术课程设计 题目名称: 音乐彩灯控制器 1. 设计任务和要求 (1) ...

    5年前   
    1186    0

    单片机课程设计作息时间控制器的设计报告

    XX大学单片机课程设计报告作息时间控制器设计姓 名: 学 号: 专业班级: 自动化班 指...

    3年前   
    564    0

    数字电子技术课程设计电子密码锁

    课程设计说明书课程名称: 数字电子技术课程设计 题 目: 电子密码锁 学生姓名: ...

    1年前   
    499    0

    学生电子技术课程设计实习报告—实习报告

    学生电子技术课程设计实习报告—实习报告  实习题目:晶体管超外差收音机(原理、装配、调试)  学生姓名  学号:=======  指导教师:  专业班级:光电子技术科学  提交日期:2008年...

    10年前   
    525    0

    烟雾报警器课程设计报告电子技术

    课程设计总结报告课程名称 电子技术课程设计 设计题目 基于89C52的室内火、气安全监测装置 专 业 电子信息工程 班...

    2年前   
    528    0

    30秒定时器的设计电子技术课程设计报告书

    电子技术课程设计报告书课题名称:30秒定时器的设计姓 名:学 号:院 系:电子与信息工程系专 业:电子信息工程指导教师:时 间:2011年月日一、设计任务及要求...

    7个月前   
    206    0

    上海大学数字电子技术课程设计交通灯

    电子技术课程设计报告——交通灯控制电路XX大学机自学院自动化系自动化 专业姓名:学号:指导老师: 2018年X月X日一、 主要用途:交通信号灯使交通得以有效管制,对于疏导交通流量、提高道路通行...

    3年前   
    541    0

    基于FPGA的计数器设计电子技术课程设计

    XX学院电子技术课程设计 题 目 _基于FPGA的计数器设计___ __________...

    1年前   
    319    0

    智能仪器设计基础课程设计

    《智能仪器设计基础》课程设计报学 院: 专业班级: 自动化 姓 名: ...

    3年前   
    598    0

    智能扫地机器人课程设计

    智能扫地机器人课程设计1、课题背景及研究的目的和意义1.1课题背景扫地机器人是服务机器人的一种,可以代替人进行清扫房间、车间、墙壁等。提出一种应用于室内的移动清洁机器人的设计方案。其具有实用价...

    3年前   
    1087    0

    光电系统智能窗帘控制课程设计

    大学光电系统课 程 设 计(基于单片机的智能窗帘控制系统)设计课题:________________________姓 名:________________________学 院:...

    3年前   
    599    0

    基于单片机的温湿度控制器设计课程设计报告

    《智能仪器仪表设计与调试》课程设计报告 学 院: 电气与信息工程学院 专业班级: 测控10-01 学生姓名: 学 ...

    1年前   
    306    0

    交通信号灯控制器设计EDA课程设计

    信息科学技术学院《EDA技术课程设计报告》专业班级:2008级通信工程姓 名: 学 号:指导老师: 目 录课程设计的要求及目的……………………………………………2前言…………………………...

    11个月前   
    308    0

    文档贡献者

    文***享

    贡献于2020-10-20

    下载需要 2 香币 [香币充值 ]
    亲,您也可以通过 分享原创文档 来获得香币奖励!
    下载文档

    该用户的其他文档